meeting date: 12 dec 2005 attending: Todd Westerhoff, Bob Ross, Ian Dodd, Mike LaBonte Walter Katz ------------- Review of ARs: all review draft BIRD proposal - see below Mike send PERL parser to Paul - done Paul choose a parser platform - ??? Arpad to change IBIS buffer implementation to have two input control thresholds - ??? Mike finish documentation examples. - TBD Ken will send out something to the reflector about the syntax changes that the Verilog-AMS LRM2.3 may introduce to the array declaration. - ??? ------------- Draft BIRD review: - No recent discussion between Ian and Arpad. - We were in "heated agreement" last time. - We only need to resolve whether to add examples for VHDL-AMS and Verilog-AMS To be consistent with other languages in the IBIS spec. - Would be voted Jan 20, can't make it for Jan 6 IBIS Open Forum. Need to recruit new members, IC makers Ongoing ARs: AR: Ian try to add examples to BIRD AR: Paul choose a parser platform AR: Mike finish documentation examples. AR: Todd get response from Xilinx ------------- Next meeting: Tuesday 3 jan 2006